Find IP Sell IP AI Assistant Chip Talk Chip Videos About Us
Log In

Chip Talk > Intel’s Foveros Technology: Pioneering 3D Chiplet Stacking for Next-Gen Computing

Intel’s Foveros Technology: Pioneering 3D Chiplet Stacking for Next-Gen Computing

Published July 06, 2025

In the rapidly evolving semiconductor industry, where performance, power efficiency, and scalability are paramount, Intel’s Foveros technology stands out as a groundbreaking advancement in 3D chiplet stacking. Introduced in 2019, Foveros enables the vertical integration of multiple chiplets—such as compute, memory, and I/O dies—into a single package, revolutionizing power management and performance for applications ranging from client devices to AI and high-performance computing (HPC). This blog post explores the technical details, advantages, challenges, and transformative applications of Foveros, with a focus on its role in power management integration, drawing on publicly available sources.

What is Foveros?

Foveros is Intel’s 3D face-to-face (F2F) die stacking technology, designed to address the challenges of traditional monolithic chip designs. Unlike 2.5D packaging, which places dies side-by-side on an interposer (e.g., Intel’s EMIB), Foveros stacks dies vertically, connecting them through microbumps and through-silicon vias (TSVs). This allows for higher interconnect density, lower latency, and improved power efficiency, making it ideal for complex, disaggregated chiplet architectures.

Foveros comprises a base logic die (active or passive) that hosts additional chiplets, such as CPUs, GPUs, memory, or analog components. The technology supports heterogeneous integration, enabling chiplets from different process nodes or foundries to be combined, enhancing design flexibility. First introduced with Intel’s 10nm process in 2019, Foveros is now a cornerstone of Intel’s advanced packaging roadmap, powering processors like Meteor Lake, Gaudi 3, and Clearwater Forest.

Sources: Intel 2018 Architecture Day, WikiChip, Intel Newsroom.

Technical Details of Foveros

Integration Method

  1. Face-to-Face Bonding: Foveros uses F2F chip-on-chip bonding with 36 µm microbumps (first generation, 2019) for high-density interconnects. Dies are stacked via thermocompression bonding (TCB) with copper pillars, reducing wire parasitics compared to 2.5D interposers.
  2. Base Tile: The base die (e.g., in Meteor Lake) integrates PMICs (Power Management Integrated Circuits) and MIM capacitors (~0.1 nF/mm²), managing power delivery to stacked chiplets. It also routes I/O and power through TSVs.
  3. Power Delivery: Supports up to 1,000A for AI/HPC (e.g., Gaudi 3) and scales from 3W (low-power client) to 1KW (HPC), with 0.15 pJ/bit power efficiency.

Variants

  1. Foveros Omni: Introduced in 2021, it uses copper pillars (25 µm pitch, 1,600 bumps/mm²) to feed power directly to the top die, bypassing TSVs for improved signal integrity and reduced interference. It supports multiple base dies of varying sizes and process nodes.
  2. Foveros Direct: Intel’s hybrid bonding implementation, offering sub-10 µm pitch (>10,000 bumps/mm²) and <0.05 pJ/bit power efficiency. It uses direct copper-to-copper bonding, enabling ultra-low latency and high bandwidth. First-generation Foveros Direct (9 µm pitch) is expected in 2025, with a second generation targeting 3 µm pitch by 2030.
  3. Complementary Role: Foveros complements EMIB (2.5D) for chip-to-chip connections, often combined with HBM3 in AI/HPC designs (e.g., Ponte Vecchio).

Power Management Integration

  1. PMICs: Embedded in the Foveros base die or substrate, PMICs deliver >1,000A for AI/HPC workloads (e.g., Gaudi 3, Clearwater Forest). They support dynamic voltage scaling (DVS) and fine-grained power control.
  2. Capacitors: MIM capacitors (~0.1 nF/mm²) in the base die provide decoupling, with Intel targeting ferroelectric capacitors (1–10 nF/mm²) by 2027 for higher density.
  3. PowerVia: Introduced in Intel 18A (2025), this backside power delivery network (BS-PDN) reduces IR drop by 30% and boosts efficiency by 10%, enhancing PMIC performance in Foveros stacks.

Performance Metrics

  1. Interconnect Density: Foveros Omni achieves 1,600 bumps/mm², while Foveros Direct scales to >10,000 bumps/mm², enabling high-bandwidth connections (e.g., HBM3 at 1,280 GB/s).
  2. Transient Response: ~20–50 ns, slower than on-chip FIVR but faster than external VRs, balancing latency and high-current delivery.
  3. Package Size: Moderate (100–1,000 mm²), smaller than TSMC’s CoWoS-L interposers (7,885 mm² by 2028) but scalable for diverse chiplet counts.

Sources: Intel 2021 Accelerated Event, AnandTech, All About Circuits, Intel Foundry Direct Connect 2025.

Advantages of Foveros

  1. High Interconnect Density: Foveros Direct’s sub-10 µm pitch supports >10,000 bumps/mm², enabling dense connections for chiplets like CPUs, GPUs, and HBM3, critical for AI accelerators (e.g., Gaudi 3) and data center CPUs (e.g., Clearwater Forest).
  2. Power Efficiency: 0.15 pJ/bit (Foveros Omni) and <0.05 pJ/bit (Foveros Direct) reduce power consumption compared to 2.5D interposers (~0.3 pJ/bit), ideal for low-power client devices (e.g., Meteor Lake) and high-power HPC.
  3. Flexible Chiplet Design: Heterogeneous integration allows mixing process nodes (e.g., Intel 4 for compute, 10nm for I/O) and foundry sources, optimizing cost and performance.
  4. Scalability: Supports 3W to 1KW, covering ultrabooks (Meteor Lake) to AI supercomputers (Ponte Vecchio). Foveros Omni’s multiple base dies enhance modularity.
  5. Improved Yield: Smaller chiplets improve yield compared to monolithic dies, with >95% D2D yield in Foveros/EMIB designs, reducing costs for high-core-count CPUs.

Sources: WikiChip Fuse, Intel Newsroom.

Challenges of Foveros

  1. Manufacturing Complexity: Stacking dies with TCB and hybrid bonding requires precise alignment, increasing fabrication complexity and cost, especially in advanced nodes like Intel 18A.
  2. Thermal Management: Stacked dies generate localized heat, straining cooling systems. Intel uses advanced TIMs and direct-to-die cooling, but PMIC heat in dense stacks (e.g., Gaudi 3) remains a challenge.
  3. Capacitance Density: Current MIM capacitors (~0.1 nF/mm²) lag behind TSMC’s DTCs (2,500 nF/mm²), limiting decoupling for high-current workloads. Intel’s ferroelectric capacitors (2027) aim to close this gap.
  4. Yield Risks: Multi-die stacking introduces yield challenges, though mitigated by >95% D2D yield and EDA tools (Synopsys, Cadence).
  5. Latency: Transient response (~20–50 ns) is slower than on-chip FIVR (<10 ns), though optimized by PowerVia and hybrid bonding.

Sources: All About Circuits, Intel Foundry Direct Connect 2025.

Applications of Foveros

Foveros powers a wide range of Intel processors, addressing diverse computing needs:

  1. Client Computing: Meteor Lake (2023, Intel 4) uses Foveros for premium laptops, integrating CPU, GPU, SoC, and I/O tiles with PMICs for power-efficient AI inferencing and gaming.
  2. AI Accelerators: Gaudi 3 (2024) leverages Foveros with HBM3 (1,280 GB/s) and PMICs for AI training/inferencing in data centers, competing with NVIDIA H100.
  3. Data Center CPUs: Clearwater Forest (2025, Intel 18A) uses Foveros with PowerVia for high-core-count, energy-efficient cloud computing, supporting hyperscale workloads.
  4. Foundry Services: Intel Foundry’s UCIe-compliant chiplets (2025) enable custom designs for customers like AMD and NVIDIA, integrating Foveros for AI/HPC.

Sources: Intel Newsroom, TweakTown, Intel.com.

Timeline and Future Outlook

  1. 2019: Foveros introduced with 36 µm pitch on Intel 10nm (Lakefield).
  2. 2021: Foveros Omni (25 µm pitch) and Foveros Direct (sub-10 µm) announced, with Ponte Vecchio GPU using second-generation Foveros.
  3. 2023: Meteor Lake launches with Foveros, integrating PMICs and PowerVia precursors.
  4. 2024: Gaudi 3 adopts Foveros with HBM3 for AI workloads.
  5. 2025: Foveros Direct (9 µm pitch) enters volume production with Intel 18A, powering Clearwater Forest. PowerVia enhances PMIC efficiency.
  6. 2027: Ferroelectric capacitors (1–10 nF/mm²) improve decoupling.
  7. 2030: Foveros Direct targets 3 µm pitch, supporting trillion-transistor designs.

By 2030, Foveros is expected to integrate with glass substrates and next-gen HBM4, driving Moore’s Law for AI and HPC.

Sources: Intel 2021 Accelerated Event, Intel Foundry Direct Connect 2025, Intel Newsroom.

Ecosystem Support

Foveros benefits from Intel’s robust ecosystem:

  1. UCIe Consortium: Ensures chiplet interoperability with TSMC, Samsung, and AMD, enabling multi-foundry designs.
  2. EDA Tools: Synopsys and Cadence support Foveros for power, thermal, and signal integrity optimization.
  3. Foundry Customers: Intel Foundry (2025) leverages Foveros for custom AI/HPC chiplets, serving clients like AWS (Intel 18A custom Xeon 6).

Sources: Intel Foundry Direct Connect 2025, Intel Newsroom.

Conclusion

Intel’s Foveros technology is a transformative force in semiconductor packaging, enabling 3D chiplet stacking for superior performance, power efficiency, and scalability. By integrating PMICs and capacitors in the base die, enhanced by PowerVia and Foveros Direct (sub-10 µm pitch by 2025), Foveros powers client devices (Meteor Lake), AI accelerators (Gaudi 3), and data center CPUs (Clearwater Forest). Despite challenges like thermal management and manufacturing complexity, Intel’s >95% D2D yield, UCIe interoperability, and roadmap to 3 µm pitch by 2030 position Foveros as a leader in next-gen computing. For deeper insights, explore Intel’s 2021 Accelerated Event, 2024 Technology Symposium, and IEEE IEDM papers.

Get In Touch

Sign up to Silicon Hub to buy and sell semiconductor IP

Sign Up for Silicon Hub

Join the world's most advanced semiconductor IP marketplace!

It's free, and you'll get all the tools you need to discover IP, meet vendors and manage your IP workflow!

No credit card or payment details required.

Sign up to Silicon Hub to buy and sell semiconductor IP

Welcome to Silicon Hub

Join the world's most advanced AI-powered semiconductor IP marketplace!

It's free, and you'll get all the tools you need to advertise and discover semiconductor IP, keep up-to-date with the latest semiconductor news and more!

Plus we'll send you our free weekly report on the semiconductor industry and the latest IP launches!

Switch to a Silicon Hub buyer account to buy semiconductor IP

Switch to a Buyer Account

To evaluate IP you need to be logged into a buyer profile. Select a profile below, or create a new buyer profile for your company.

Add new company

Switch to a Silicon Hub buyer account to buy semiconductor IP

Create a Buyer Account

To evaluate IP you need to be logged into a buyer profile. It's free to create a buyer profile for your company.

Chatting with Volt